[Libre-soc-bugs] [Bug 835] add support for smtlib2 floating-point to yosys and nmigen

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Thu Jun 16 09:32:39 BST 2022


https://bugs.libre-soc.org/show_bug.cgi?id=835

--- Comment #47 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
(In reply to Jacob Lifshay from comment #46)
> As described in:
> https://libre-soc.org/irclog/%23libre-soc.2022-06-16.log.
> html?PageSpeed=noscript#t2022-06-16T03:15:24
> 
> I think we should wait for https://github.com/YosysHQ/sby/pull/170 to merge
> before we update the yosys/nmigen/sby dev-env-setup scripts. We need
> something (icr what right now) in sby master that's not in that PR, and that
> PR. I want to use the merge commit (or a later commit in sby) that will be
> created in sby master, rather than creating our own in a fork.

there's only *three months* left on the clock before 75% of the money available
from NLnet is terminated due to Horizon 2020 ending.

waiting even 2 weeks is 15% - *one sixth* - of the available time.

if you think you can complete the ENTIRE Formal Correctness Proofs in nmigen
in UNDER TWO MONTHS, *and get the RFP in*, then "waiting" is "perfectly fine"

basically i am strongly, strongly hinting that "waiting" is a really bad idea.

i've created a SymbiYosys repo.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list