[Libre-soc-bugs] [Bug 835] add support for smtlib2 floating-point to yosys and nmigen

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Thu Jun 16 03:28:29 BST 2022


https://bugs.libre-soc.org/show_bug.cgi?id=835

--- Comment #46 from Jacob Lifshay <programmerjake at gmail.com> ---
As described in:
https://libre-soc.org/irclog/%23libre-soc.2022-06-16.log.html?PageSpeed=noscript#t2022-06-16T03:15:24

I think we should wait for https://github.com/YosysHQ/sby/pull/170 to merge
before we update the yosys/nmigen/sby dev-env-setup scripts. We need something
(icr what right now) in sby master that's not in that PR, and that PR. I want
to use the merge commit (or a later commit in sby) that will be created in sby
master, rather than creating our own in a fork.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list