[Libre-soc-bugs] [Bug 469] Create D-cache from microwatt dcache.vhdl

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Thu Jun 16 15:59:41 BST 2022


https://bugs.libre-soc.org/show_bug.cgi?id=469

--- Comment #45 from Jacob Lifshay <programmerjake at gmail.com> ---
just verifying, the payment is going to tplaten and isn't a bug in the program
you used to submit everything, luke?

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list