[Libre-soc-bugs] [Bug 835] add support for smtlib2 floating-point to yosys and nmigen

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Wed Jun 15 01:26:04 BST 2022


https://bugs.libre-soc.org/show_bug.cgi?id=835

--- Comment #40 from Jacob Lifshay <programmerjake at gmail.com> ---
(In reply to Luke Kenneth Casson Leighton from comment #39)
> https://git.libre-soc.org/?p=dev-env-setup.git;a=commitdiff;
> h=3066663eed414b7577d7dde2efcb62f9d0ca5733
> 
> jacob any chance you could make sure that the yosys hdl-tools-yosys
> script stays up-to-date, we've just rather embarrassingly had a
> new developer from the IBM-sponsored OpenPOWER Academic Development Group
> fail to be able to install from the scripts.

I could try, but as i don't use it myself (because imho the scripts take the
wrong approach to installing/using software -- running as root and not using a
venv among other things), i'm probably not the right person to maintain it.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list