[Libre-soc-bugs] [Bug 835] add support for smtlib2 floating-point to yosys and nmigen

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Wed Jun 1 09:01:27 BST 2022


https://bugs.libre-soc.org/show_bug.cgi?id=835

--- Comment #27 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
(In reply to Jacob Lifshay from comment #26)

> I changed the API so SmtBitVec and SmtBool are both ValueCastable,

sorry, can you please make that UserValue, i'm going to deprecate
ValueCastable because it breaks the chain of inheritance. this was
touted as a "feature" but it's a serious problem when adding the
up-casting we discussed a few months back.


> I also merged the CI additions into the smtlib2-expr-support branch and
> adjusted .gitlab-ci.yml to use our yosys 0.13 fork with the $smtlib2_expr
> support.

brilliant

> CI for yosys master fails because $smtlib2_expr isn't yet merged.

nice to have something keeping an eye on when that occurs

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list