[Libre-soc-bugs] [Bug 469] Create D-cache from microwatt dcache.vhdl

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Tue Jul 26 16:39:14 BST 2022


https://bugs.libre-soc.org/show_bug.cgi?id=469

Tobias Platen <libre-soc at platen-software.de> changed:

           What    |Removed                     |Added
----------------------------------------------------------------------------
       The table of|programmerjake = {          |programmerjake = {
  payments (in EUR)|submitted = 2022-05-09,     |submitted = 2022-05-09,
     for this task;|paid = 2022-05-13, amount = |paid = 2022-05-13, amount =
        TOML format|1200 }
                     |1200 }
                   |
                           |
                   |[tplaten]
                  |[tplaten]
                   |amount =                    |amount =
                   |300
                        |300
                   |submitted = 2022-06-16      |submitted = 2022-06-16
                   |                            |paid = 2022-07-20
                 CC|                            |libre-soc at platen-software.d
                   |                            |e

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list