[Libre-soc-bugs] [Bug 835] add support for smtlib2 floating-point to yosys and nmigen

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Sun Jul 3 11:03:35 BST 2022


https://bugs.libre-soc.org/show_bug.cgi?id=835

--- Comment #55 from Jacob Lifshay <programmerjake at gmail.com> ---
https://github.com/YosysHQ/sby/pull/170 was merged, so all we're waiting on now
is nmigen upstream (aka. lkcl) -- i want to wait for the ci tests to pass for
yosys/sby master before merging the nmigen merge request...running ci now.

also i want to change the .gitlab-ci.yml to fix the comments about switching
back to sby upstream...the 0.13 branch test will use master of libre-soc's sby
repo.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list