[Libre-soc-bugs] [Bug 604] ISACaller simulator needs RADIX MMU support

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Fri Mar 5 14:25:51 GMT 2021


https://bugs.libre-soc.org/show_bug.cgi?id=604

--- Comment #7 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
tobias i am just putting in some leaf-node functions which i am taking from
microwatt, like this:

+    def _decode_prte(self, data):
+        """PRTE0 Layout
+           -----------------------------------------------
+           |/|RTS1|/|     RPDB          | RTS2 |  RPDS   |
+           -----------------------------------------------
+            0 1  2 3 4                55 56  58 59      63
+        """
+        zero = SelectableInt(0, 1)
+        rts = selectconcat(data[5:8],      # [56-58] - RTS2
+                           data[61:63],    # [1-2]   - RTS1
+ ....

the idea is to put these functions together with meaningful names
then work out how to join them up.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list