[Libre-soc-bugs] [Bug 484] Write VHDL to expose CR and XER from Microwatt so single-stepping is possible

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Mon Sep 7 01:31:49 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=484

--- Comment #5 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
(In reply to Cole Poirier from comment #4)
> (In reply to Luke Kenneth Casson Leighton from comment #3)
> > Created attachment 100 [details]
> > compiles (ghdl) version of cr dmi
> > 
> > took 2 minutes to get it to compile, some missing semicolons, missing
> > keyword "signal", not much.  a diff-of-the-diff will be very instructive.
> 
> Very cool, thank you. I'm excited take a look at the diff of the diff
> tomorrow. What are the next steps I should complete?

given that the XER bits are also in cr_file.vhdl it's probably a good
idea to simply return those alongside the dbg_cr_data.

for a first pass that's probably enough to submit to microwatt.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list