[Libre-soc-bugs] [Bug 469] Create D-cache from microwatt dcache.vhdl

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Sun Aug 30 12:55:09 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=469

--- Comment #17 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
#                   (ROW_OFF_BITS-1 downto 0 => '0');
            comb += ra.eq(Cat(
                     Const(0, ROW_OFF_BITS),

you had a constant ROW_OFF_BITS of length ROW_OFF_BITS

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list