[Libre-soc-bugs] [Bug 484] Write VHDL to expose CR and XER from Microwatt so single-stepping is possible

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Mon Sep 7 22:56:20 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=484

--- Comment #10 from Cole Poirier <colepoirier at gmail.com> ---
(In reply to Cole Poirier from comment #9)
> Created attachment 103 [details]
> Unsure if it compiles (ghdl) version of cr and xer dmi

Ok I did exactly as you said and added only *only* dbg_xer_data alongside
dbg_cr_data, and set it equal to xerc_updated, putting it just after the line
that sets dbg_cr_data.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list