[Libre-soc-bugs] [Bug 484] Write VHDL to expose CR and XER from Microwatt so single-stepping is possible

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Mon Sep 7 22:55:10 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=484

--- Comment #9 from Cole Poirier <colepoirier at gmail.com> ---
Created attachment 103
  --> https://bugs.libre-soc.org/attachment.cgi?id=103&action=edit
Unsure if it compiles (ghdl) version of cr and xer dmi

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list