[Libre-soc-dev] daily kan-ban update 10oct2020

Luke Kenneth Casson Leighton lkcl at lkcl.net
Sun Oct 11 23:11:24 BST 2020


On Sun, Oct 11, 2020 at 10:57 PM Cole Poirier <colepoirier at gmail.com> wrote:

> ./versa_ecp5 --build just ran to the end successfully for me :)

excellent.  you forgot --sys-clk-freq=55 but that's ok.  now you can
try modifying the script to specify a device of ulx3s instead.  look
through litex for ulx3s.py files.


> ./versa_ecp5 --load has some hardcoded vid, pid,

yep this is from litex.  litex copies an openocd file from some target
directory somewhere (because you asked it to by specifying
"device=LFE5UM")

> Warn : Transport "jtag" was already selected
> Error: no device found
> Error: unable to open ftdi device with vid 0403, pid 6010, description

yup.  do you have a VERSA ECP5 connected?  no you do not.  therefore
why are you expecting this - which is a build for a VERSA ECP5 - to
succeed?

l.



More information about the Libre-soc-dev mailing list