[Libre-soc-bugs] [Bug 835] add support for smtlib2 floating-point to yosys and nmigen

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Sat May 28 11:04:43 BST 2022


https://bugs.libre-soc.org/show_bug.cgi?id=835

--- Comment #19 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
i just increased the budget here, might have to do so again:

1) just ran the unit tests, confirmed functional except for cvc4 is missing
  if depending on cvc4 that will need adding to the dev-env-setup scripts
  (symbiflow install one)

   is there a reason why cvc4 was picked instead of cvc5?

2) is this genuinely going to be independent? as in, no actual modifications
   to nmigen itself needed? (apart from the addition to FHDLTestCase)

   if so, my feeling is that due to the build dependencies introduced
   (cvc4, a maintenance-branch of yosys) it *may* be better to have this
   as an entirely stand-alone repository

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list