[Libre-soc-bugs] [Bug 835] add support for smtlib2 floating-point to yosys and nmigen

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Wed May 25 13:36:42 BST 2022


https://bugs.libre-soc.org/show_bug.cgi?id=835

--- Comment #15 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
(In reply to Jacob Lifshay from comment #13)
> I made progress on adding all the tests for the nmigen integration.
> 
> I also submitted a PR adding $divfloor support to yosys's write_smt2 (which
> was missing):
> https://github.com/YosysHQ/yosys/pull/3335

https://git.libre-soc.org/?p=yosys.git;a=log;h=refs/heads/smtlib2-expr-support

that's based off of the master branch.  we cannot use it.
i explained why in an earlier conversation.

https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;h=86d1a26f1598c65f0941c9d2ce6465cb815eb377;hb=HEAD#l35

yosys-0.13 and no other version has to be used.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list