[Libre-soc-bugs] [Bug 671] convert spec pseudocode to use XLEN width

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Mon Aug 30 17:37:08 BST 2021


https://bugs.libre-soc.org/show_bug.cgi?id=671

--- Comment #45 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
created bug #681 there are no madd* unit tests in ISACaller
(stand-alone for openpower-isa) so i have cherry-picked
the madd* xlen branch code over for now, untested.
this is fine because it can't do any "damage", with no
actual implementation.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list