[Libre-soc-bugs] [Bug 469] Create D-cache from microwatt dcache.vhdl

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Fri Sep 11 01:43:31 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=469

--- Comment #31 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
(In reply to Cole Poirier from comment #30)

> Interesting. Lots of pmux's then?

no, much worse: massive arrays of arrays of pmuxes with hundreds of inputs

> Can you show me an example of this pattern
> here?

vvvvv
> > due to use of double nested arrays: bit_select and word_select on an
^^^^^^

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list