[Libre-soc-bugs] [Bug 484] Write VHDL to expose CR and XER from Microwatt so single-stepping is possible

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Mon Sep 7 22:42:21 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=484

--- Comment #8 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
(In reply to Cole Poirier from comment #7)
> Just reviewed the diff of the diff, yes, very instructive. Starting on
> returning the XER bits alongside the dbg_cr_data since they are also in
> cr_file.vhdl. Essentially I should replicate the process from adding CR to
> the DMI interface for XER?

no, that would result in unecessary duplicated effort. exactly as a said:
*only* add dbg_xer_data alongside dbg_cr_data, and set it equal to
xerc_updated.
put it just after the line that sets dbg_cr_data.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list