[Libre-soc-bugs] [Bug 486] New: Script and document the setup and installation of microwatt dependency on the wiki-HDL_workflow page

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Mon Sep 7 21:46:41 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=486

            Bug ID: 486
           Summary: Script and document the setup and installation of
                    microwatt dependency on the wiki-HDL_workflow page
           Product: Libre-SOC's first SoC
           Version: unspecified
          Hardware: PC
                OS: Linux
            Status: CONFIRMED
          Severity: normal
          Priority: Normal
         Component: Source Code
          Assignee: colepoirier at gmail.com
          Reporter: colepoirier at gmail.com
                CC: libre-soc-bugs at lists.libre-soc.org
            Blocks: 383
   NLnet milestone: NLNet.2019.10.Wishbone
    parent task for 383
 budget allocation:

Microwatt is a dependency of libre-soc and therefore it must be documented on
the wiki on the HDL_workflow page as it is a dependency like nmigen-* and
power_instruction_analyzer. Also Luke will comment below on what needs to be
'de-hackified' and put into a make file.


Referenced Bugs:

https://bugs.libre-soc.org/show_bug.cgi?id=383
[Bug 383] Complete first functional POWER9 Core
-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list