[Libre-soc-bugs] [Bug 469] Create D-cache from microwatt dcache.vhdl

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Mon Sep 7 14:01:29 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=469

--- Comment #23 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
#               stbs_done := true;
                                sync += stbs_done.eq(0)


error.  should be eq(1)

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list