[Libre-soc-bugs] [Bug 484] Write VHDL to expose CR and XER from Microwatt so single-stepping is possible

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Wed Sep 2 19:58:04 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=484

--- Comment #2 from Cole Poirier <colepoirier at gmail.com> ---
Created attachment 99
  --> https://bugs.libre-soc.org/attachment.cgi?id=99&action=edit
Microwatt add dbg_cr attempt 1

Hi Luke, here's my first attempt at implementing the dbg_cr dmi interface from
your very helpful instructions above. I think it's all there, but I can't be
sure so I await your feedback.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list