[Libre-soc-bugs] [Bug 484] New: Write VHDL to expose CR and XER from Microwatt so single-stepping is possible

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Wed Sep 2 01:36:55 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=484

            Bug ID: 484
           Summary: Write VHDL to expose CR and XER from Microwatt so
                    single-stepping is possible
           Product: Libre-SOC's first SoC
           Version: unspecified
          Hardware: PC
                OS: Linux
            Status: CONFIRMED
          Severity: major
          Priority: ---
         Component: Source Code
          Assignee: lkcl at lkcl.net
          Reporter: colepoirier at gmail.com
                CC: libre-soc-bugs at lists.libre-soc.org
            Blocks: 383
   NLnet milestone: ---

Additions need to be made to the microwatt vhdl code to allow CR and XER values
to be accessed through the DMI debug interface such that single-stepping
microwatt is possible. Then we will be able to compare libre-soc against it
much more easily, enabling us to find bugs faster.


Referenced Bugs:

https://bugs.libre-soc.org/show_bug.cgi?id=383
[Bug 383] Complete first functional POWER9 Core
-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list