[Libre-soc-bugs] [Bug 1004] FPGA bring up for platform definitions

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Fri Sep 8 02:31:38 BST 2023


https://bugs.libre-soc.org/show_bug.cgi?id=1004

--- Comment #2 from Cesar Strauss <cestrauss at gmail.com> ---
Summary of experimentation done so far:

1) Added support, to the developer script, for the FPGA chip on the Nexys Video 

commit b2dc3deced1a94d7305adc3301c6d9f076787652
Author: Cesar Strauss <cestrauss at gmail.com>
Date:   Mon Jul 10 18:00:24 2023 +0000

    nextpnr-xilinx-install: generate database for XC7A200T

    Enables bit file support for the FPGA in the Nexys Video board.

2) Patched nmigen-boards to add a small board definition, sufficient to run a
"Blinky lights" design. Untested patch was not submitted upstream, pending a
successful confirmation in hardware.

https://libre-soc.org/irclog/%23libre-soc.2023-08-11.log.html#t2023-08-11T10:30:46

3) Konstantinos reported upload failure, xc3prog found buggy

https://libre-soc.org/irclog/%23libre-soc.2023-08-11.log.html#t2023-08-11T11:41:05

4) Konstantinos tried it over USB. Done led lights up, but no blinking lights

https://libre-soc.org/irclog/%23libre-soc.2023-08-13.log.html#t2023-08-13T12:27:13

5) Compiled a Digilent demo with the Vivado toolchain. Konstantinos
successfully
uploaded it with openocd. It means we can reliably upload a bit file, the
problem does not lie there.

https://libre-soc.org/irclog/%23libre-soc.2023-08-26.log.html#t2023-08-26T13:36:10

6) Compiled a simpler verilog file, still with Vivado. Konstantinos reported it
to work. Also, openFPGALoader is also able to upload a bit file, which is more
convenient than openOCD.

https://libre-soc.org/irclog/%23libre-soc.2023-08-27.log.html#t2023-08-27T12:44:25

7) Compiled the previous verilog file (without nMigen) with
yosys+next-pnr-xilinx. Didn't work. Toolchain confirmed non functional for the
XC7A200T.

https://libre-soc.org/irclog/%23libre-soc.2023-08-27.log.html#t2023-08-27T17:57:58

8) Installed Symbiflow, an alternative toolchain. Compiled a Symbiflow demo.
Konstantinos reported it to work.

https://libre-soc.org/irclog/%23libre-soc.2023-09-07.log.html#t2023-09-07T18:20:59

Conclusion: Use Symbiflow+openFPGALoader for boards with XC7A200T FPGA.

Next steps:

1) Update Symbiflow dev script to include support for XC7A200T
2) Konstantinos to reproduce the build
3) Update nmigen-boards to use the Symbiflow toolchain
4) Try nMigen Blinky
5) Try a UART demo
6) Submit pull request to include board definition on upstream nmigen-boards
7) Extend board definition to remaining peripherals
8) Try microwatt and ls2

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list