[Libre-soc-bugs] [Bug 1221] New: weird ISAcaller bug, _RA not present

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Tue Nov 28 20:57:43 GMT 2023


https://bugs.libre-soc.org/show_bug.cgi?id=1221

            Bug ID: 1221
           Summary: weird ISAcaller bug, _RA not present
           Product: Libre-SOC's first SoC
           Version: unspecified
          Hardware: PC
                OS: Linux
            Status: CONFIRMED
          Severity: enhancement
          Priority: ---
         Component: Source Code
          Assignee: lkcl at lkcl.net
          Reporter: lkcl at lkcl.net
                CC: libre-soc-bugs at lists.libre-soc.org
   NLnet milestone: ---

"sv.addi/sw=8 *24,*8,0", 

=>

ERROR: test_sv_pospopcount (__main__.PosPopCountTestCase)
positional popcount
----------------------------------------------------------------------
Traceback (most recent call last):
  File
"/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/isa/test_caller_svp64_pospopcount.py",
line 87, in test_sv_pospopcount
    sim = self.run_tst_program(program, initial_mem=initial_mem,
  File
"/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/isa/test_caller_svp64_pospopcount.py",
line 109, in run_tst_program
    simulator = run_tst(prog, initial_regs, svstate=svstate,
  File
"/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/isa/test_runner.py",
line 176, in run_tst
    sim.run()
  File "/home/lkcl/src/libresoc/nmigen/nmigen/sim/core.py", line 177, in run
    while self.advance():
  File "/home/lkcl/src/libresoc/nmigen/nmigen/sim/core.py", line 168, in
advance
    return self._engine.advance()
  File "/home/lkcl/src/libresoc/nmigen/nmigen/sim/pysim.py", line 319, in
advance
    self._step()
  File "/home/lkcl/src/libresoc/nmigen/nmigen/sim/pysim.py", line 308, in _step
    process.run()
  File "/home/lkcl/src/libresoc/nmigen/nmigen/sim/_pycoro.py", line 125, in run
    self.coroutine.throw(exn)
  File "/home/lkcl/src/libresoc/nmigen/nmigen/sim/_pycoro.py", line 64, in run
    command = self.coroutine.send(response)
  File "/home/lkcl/src/libresoc/nmigen/nmigen/sim/core.py", line 82, in wrapper
    yield from process()
  File
"/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/isa/test_runner.py",
line 164, in process
    yield from simulator.execute_one()
  File
"/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/isa/caller.py",
line 1808, in execute_one
    yield from self.call(opname)         # execute the instruction
  File
"/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/isa/caller.py",
line 2269, in call
    results = info.func(self, *inputs)
  File
"/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/isa/caller.py",
line 2962, in decorator
    result = func(*args, **kwargs)
  File
"/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/isa/generated/fixedarith.py",
line 17, in op_addi
    RT = copy_assign_rhs(GPR.getz(_RA) + self.EXTS(SI))
NameError: name '_RA' is not defined

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list