[Libre-soc-bugs] [Bug 583] Implement simple VL for-loop in nMigen for TestIssuer

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Thu Feb 11 23:09:55 GMT 2021


https://bugs.libre-soc.org/show_bug.cgi?id=583

--- Comment #25 from Cesar Strauss <cestrauss at gmail.com> ---
(In reply to Luke Kenneth Casson Leighton from comment #24)
> Cesar i have added in some TODO comments and the SVP64PrefixDecoder

Sure, I'm on it.

It would be interesting to have a test case to test against, like the one you
have on test_caller_svp64.py. Does the simulator already work on it?

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list