[Libre-soc-bugs] [Bug 671] convert spec pseudocode to use XLEN width

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Mon Aug 30 17:26:02 BST 2021


https://bugs.libre-soc.org/show_bug.cgi?id=671

--- Comment #44 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
just going through cherry-picking from xlen branch (bleh) spotted
that mulli doesn't have a short test, so made one

https://git.libre-soc.org/?p=openpower-isa.git;a=commitdiff;h=5e7b5ce86ded1f7686e4fa45538df0f0d7a5c5c2

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list