[Libre-soc-bugs] [Bug 671] convert spec pseudocode to use XLEN width

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Thu Aug 26 02:51:52 BST 2021


https://bugs.libre-soc.org/show_bug.cgi?id=671

--- Comment #27 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
https://git.libre-soc.org/?p=openpower-isa.git;a=commitdiff;h=a14ebcbc17e690976d054be5ec361c0ecc043c97

neat.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list