[Libre-soc-bugs] [Bug 469] Create D-cache from microwatt dcache.vhdl

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Mon Aug 24 02:46:46 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=469

--- Comment #8 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---


szNUM_WAYS = log2_int(NUM_WAYS)
self.hit_way   = Signal(szNUM_WAYS)

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list