[Libre-soc-bugs] [Bug 469] Create D-cache from microwatt dcache.vhdl

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Sat Aug 22 01:02:50 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=469

--- Comment #4 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
right, ok, not quite correct.
log2_int(NUM_WAYS).

etc.

i suggest creating some constante szNUM_WAYS = log2...etc

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list