[libre-riscv-dev] [isa-dev] FP reciprocal sqrt extension proposal

Aneesh Raveendran aneeshr2020 at gmail.com
Sat Jul 13 18:30:27 BST 2019


Hi all,
    Myself Aneesh Raveendran. I worked on RISC-V floating point
co-processor. I have few doubts regarding floating point reciprocal
square-root.

1. In which application/bench marking suites will infer floating point
reciprocal square-root operations?
2. If this instruction is proposing, what could be the possible instruction
formats? (opcodes, f7, f5 field values )
3. Any testsuites are available to verify the functional correctness of the
module?

Thanks and regards
Aneesh Raveendran

On Sat, Jul 13, 2019 at 12:58 PM lkcl <luke.leighton at gmail.com> wrote:

> On Friday, July 12, 2019 at 11:07:14 PM UTC+8, Bill Huffman wrote:
> > The rounding isn't difficult in an N-bit at a time algorithm that
> doesn't have a redundant result representation.  For a Newton-Raphson
> implementation or a redundant result implementation, rounding is more
> difficult.
>
> Thank you Bill (also Andrew) for the insights.
>
> L.
>
> --
> You received this message because you are subscribed to the Google Groups
> "RISC-V ISA Dev" group.
> To unsubscribe from this group and stop receiving emails from it, send an
> email to isa-dev+unsubscribe at groups.riscv.org.
> To view this discussion on the web visit
> https://groups.google.com/a/groups.riscv.org/d/msgid/isa-dev/5a6fa996-8966-483a-bdcf-60b371f334b5%40groups.riscv.org
> .
>


-- 
ANEESH R
9995604009


More information about the libre-riscv-dev mailing list