[Libre-soc-dev] UnusedElaboratable error when running issuer tests

Luke Kenneth Casson Leighton lkcl at lkcl.net
Mon Nov 1 18:17:59 GMT 2021


On Mon, Nov 1, 2021 at 6:04 PM Tobias Platen
<libre-soc at platen-software.de> wrote:

> I tried running soc/src/soc/simple/test/ test_issuer_dcache.py
> test_issuer_mmu.py and test_issuer_svp64.py.

forget the Elaboratable warnings:

these are much more serious and you should have been running
adequate unit tests such that you should have found them long
before committing:

/home/lkcl/src/libresoc/soc/src/soc/fu/ldst/loadstore.py:91:
DriverConflict: Signal '(sig dar)' is driven from multiple fragments:
top.issuer.core.mmu0, top.issuer.core.pimem; hierarchy will be
flattened
  self.dar = Signal(64)
/home/lkcl/src/libresoc/soc/src/soc/experiment/mem_types.py:64:
DriverConflict: Signal '(sig d_in_priv_mode)' is driven from multiple
fragments: top.issuer.core, top.issuer.core.alu_mmu0; hierarchy will
be flattened
  self.priv_mode     = Signal()
/home/lkcl/src/libresoc/soc/src/soc/experiment/mem_types.py:63:
DriverConflict: Signal '(sig d_in_virt_mode)' is driven from multiple
fragments: top.issuer.core, top.issuer.core.alu_mmu0; hierarchy will
be flattened
  self.virt_mode     = Signal()
/home/lkcl/src/libresoc/soc/src/soc/fu/ldst/loadstore.py:90:
DriverConflict: Signal '(sig dsisr)' is driven from multiple
fragments: top.issuer.core, top.issuer.core.alu_mmu0; hierarchy will
be flattened
  self.dsisr = Signal(64)
/home/lkcl/src/libresoc/soc/src/soc/fu/ldst/loadstore.py:91:
DriverConflict: Signal '(sig dar)' is driven from multiple fragments:
top.issuer.core, top.issuer.core.alu_mmu0; hierarchy will be flattened

you will need to use "git bisect" (or simply git checkout
binary-search manually)
to find which commit introduced those errors.

l.



More information about the Libre-soc-dev mailing list