[Libre-soc-bugs] [Bug 746] I2C needs an Open Drain IO Pad

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Mon Nov 15 21:38:55 GMT 2021


https://bugs.libre-soc.org/show_bug.cgi?id=746

Cesar Strauss <cestrauss at gmail.com> changed:

           What    |Removed                     |Added
----------------------------------------------------------------------------
                 CC|                            |cestrauss at gmail.com

--- Comment #1 from Cesar Strauss <cestrauss at gmail.com> ---
It seems that Open Drain can be implemented by a constant zero output, and
switching the tri-state/direction/oe control signal (1=tri-state, 0=push-pull
to ground).

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list