[Libre-soc-bugs] [Bug 618] add SVP64 predication to ISACaller

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Wed Mar 17 20:42:53 GMT 2021


https://bugs.libre-soc.org/show_bug.cgi?id=618

--- Comment #2 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
commit 4a62e46f76219b3c02fee379047d8a18df2f22fc (HEAD -> master, origin/master)
Author: Luke Kenneth Casson Leighton <lkcl at lkcl.net>
Date:   Wed Mar 17 20:40:49 2021 +0000

    add CR-based predication to ISACaller

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list