[Libre-soc-bugs] [Bug 671] convert spec pseudocode to use XLEN width

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Sun Aug 22 19:04:22 BST 2021


https://bugs.libre-soc.org/show_bug.cgi?id=671

--- Comment #9 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
that's two bits of good news, can you re-run pyfnwriter and a full pywriter
then lots of test_caller_*.py (all of them) before committing?

once you commit that after tests pass i will run the soc test_issuer ones, and
the ffmpeg media ones, to make sure all the existing pseudocode works and
hasn't been damaged.

amazed that was so simple.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list